Thứ Tư, 24 tháng 5, 2017

LCD 16x2 hoạt đông như thế nào?


        Có rất nhiều loại LCD với nhiều hình dáng và kích thước khác nhau, trên hình 1 là loại LCD thông 
dụng.

Hình 1 : Hình dáng của loại LCD thông dụng 


Khi sản xuất LCD, nhà sản xuất đã tích hợp chíp điều khiển (HD44780) bên trong lớp vỏ và chỉ đưa các chân giao tiếp cần thiết. Các chân này được đánh số thứ tự và đặt tên như hình 2 : 


Hình 2 : Sơ đồ chân của LCD

2> Chức năng các chân : 

Chân
Ký hiệu
Mô tả

1
Vss
Chân nối đất cho LCD, khi thiết kế mạch ta nối chân này với GND của mạch điều khiển
2
VDD
Chân cấp nguồn cho LCD, khi thiết kế mạch ta nối chân này với VCC=5V của mạch điều khiển
3
VEE
Điều chỉnh độ tương phản của LCD.
4
RS
Chân chọn thanh ghi (Register select). Nối chân RS với logic “0” (GND) hoặc logic “1” (VCC) để chọn thanh ghi.
+ Logic “0”: Bus DB0-DB7 sẽ nối với thanh ghi lệnh IR của LCD (ở chế độ “ghi” - write) hoặc nối với bộ đếm địa chỉ của LCD (ở chế độ “đọc” - read)
+ Logic “1”: Bus DB0-DB7 sẽ nối với thanh ghi dữ liệu DR bên trong LCD.
5
R/W
Chân chọn chế độ đọc/ghi (Read/Write). Nối chân R/W với logic “0” để LCD hoạt động ở chế độ ghi, hoặc nối với logic “1” để LCD ở chế độ đọc.
6
E
Chân cho phép (Enable). Sau khi các tín hiệu được đặt lên bus DB0-DB7, các lệnh chỉ được chấp nhận khi có 1 xung cho phép của chân E.
+ Ở chế độ ghi: Dữ liệu ở bus sẽ được LCD chuyển vào(chấp nhận) thanh ghi bên trong nó khi phát hiện một xung (high-to-low transition) của tín hiệu chân E.
+ Ở chế độ đọc: Dữ liệu sẽ được LCD xuất ra DB0-DB7 khi phát hiện cạnh lên (low-to-high transition) ở chân E và được LCD giữ ở bus đến khi nào chân E xuống mức thấp.
7 - 14
DB0 - DB7
Tám đường của bus dữ liệu dùng để trao đổi thông tin với MPU. Có 2 chế độ sử dụng 8 đường bus này :
+ Chế độ 8 bit : Dữ liệu được truyền trên cả 8 đường, với bit MSB là bit DB7.
+ Chế độ 4 bit : Dữ liệu được truyền trên 4 đường từ DB4 tới DB7, bit MSB là DB7
15
-
Nguồn dương cho đèn nền

16
-
GND cho đèn nền








































Bảng 1 : Chức năng các chân của LCD 

* Ghi chú : Ở chế độ “đọc”, nghĩa là MPU sẽ đọc thông tin từ LCD thông qua các chân DBx. 
Còn khi ở chế độ “ghi”, nghĩa là MPU xuất thông tin điều khiển cho LCD thông qua các chân DBx. 


3> Sơ đồ khối của HD44780: 

Để hiểu rõ hơn chức năng các chân và hoạt động của chúng, ta tìm hiểu sơ qua chíp HD44780 thông qua các khối cơ bản của nó. 



Hình 3 : Sơ đồ khối của HD44780 

a> Các thanh ghi : 
        Chíp HD44780 có 2 thanh ghi 8 bit quan trọng : Thanh ghi lệnh IR (Instructor Register) và thanh ghi dữ liệu DR (Data Register) 

- Thanh ghi IR : Để điều khiển LCD, người dùng phải “ra lệnh” thông qua tám đường bus DB0-DB7. Mỗi lệnh được nhà sản xuất LCD đánh địa chỉ rõ ràng. Người dùng chỉ việc cung cấp địa chỉ lệnh bằng cách nạp vào thanh ghi IR. Nghĩa là, khi ta nạp vào thanh ghi IR một chuỗi 8 bit, chíp HD44780 sẽ tra bảng mã lệnh tại địa chỉ mà IR cung cấp và thực hiện lệnh đó. 
VD : Lệnh “hiển thị màn hình” có địa chỉ lệnh là 00001100 (DB7…DB0) 

         Lệnh “hiển thị màn hình và con trỏ” có mã lệnh là 00001110 



- Thanh ghi DR : Thanh ghi DR dùng để chứa dữ liệu 8 bit để ghi vào vùng RAM DDRAM hoặc CGRAM 
(ở chế độ ghi) hoặc dùng để chứa dữ liệu từ 2 vùng RAM này gởi ra cho MPU (ở chế độ đọc). Nghĩa là, khi MPU ghi thông tin vào DR, mạch nội bên trong chíp sẽ tự động ghi thông tin này vào DDRAM hoặc CGRAM. Hoặc khi thông tin về địa chỉ được ghi vào IR, dữ liệu ở địa chỉ này trong vùng RAM nội của HD44780 sẽ được chuyển ra DR để truyền cho MPU. 
=> Bằng cách điều khiển chân RS và R/W chúng ta có thể chuyển qua lại giữ 2 thanh ghi này khi giao tiếp với MPU. Bảng sau đây tóm tắt lại các thiết lập đối với hai chân RS và R/W theo mục đích giao tiếp. 



RS
R/W
Chức năng
0
0
Ghi vào thanh ghi IR để ra lệnh cho LCD
0
1
Đọc cờ bận ở DB7 và giá trị của bộ đếm địa chỉ ở DB0-DB6
1
0
Ghi vào thanh ghi DR
1
1
Đọc dữ liệu từ DR


Bảng 2 : Chức năng chân RS và R/W theo mục đích sử dụng

b> Cờ báo bận BF: (Busy Flag) 
Khi thực hiện các hoạt động bên trong chíp, mạch nội bên trong cần một khoảng thời gian để hoàn tất. Khi 
đang thực thi các hoạt động bên trong chip như thế, LCD bỏ qua mọi giao tiếp với bên ngoài và bật cờ BF (thông qua chân DB7 khi có thiết lập RS=0, R/W=1) lên để báo cho MPU biết nó đang “bận”. Dĩ nhiên, khi xong việc, nó sẽ đặt cờ BF lại mức 0. 
c> Bộ đếm địa chỉ AC : (Address Counter) 
Như trong sơ đồ khối, thanh ghi IR không trực tiếp kết nối với vùng RAM (DDRAM và CGRAM) mà thông qua bộ đếm địa chỉ AC.  Bộ đếm này lại nối với 2 vùng RAM theo kiểu rẽ nhánh. Khi một địa chỉ lệnh được nạp vào thanh ghi IR, thông tin được nối trực tiếp cho 2 vùng RAM nhưng việc chọn lựa vùng RAM tương tác đã được bao hàm trong mã lệnh. 
Sau khi ghi vào (đọc từ) RAM, bộ đếm AC tự động tăng lên (giảm đi) 1 đơn vị và nội dung của AC được  xuất ra cho MPU thông qua DB0-DB6 khi có thiết lập RS=0 và R/W=1 (xem bảng tóm tắt RS - R/W). 
Lưu ý: Thời gian cập nhật AC không được tính vào thời gian thực thi lệnh mà được cập nhật sau khi cờ BF lên mức cao (not busy), cho nên khi lập trình hiển thị, bạn phải delay một khoảng tADD khoảng 4uS-5uS (ngay sau khi BF=1) trước khi nạp dữ liệu mới. Xem thêm hình bên dưới. 


Hình 4 : Giản đồ xung cập nhật AC 

d> Vùng RAM hiển thị DDRAM : (Display Data RAM) 
Đây là vùng RAM dùng để hiển thị, nghĩa là ứng với một địa chỉ của RAM là một ô kí tự trên màn hình và khi bạn ghi vào vùng RAM này một mã 8 bit, LCD sẽ hiển thị tại vị trí tương ứng trên màn hình một kí tự có mã 8 bit mà bạn đã cung cấp. Hình sau đây sẽ trình bày rõ hơn mối liên hệ này : 


Hình 4 : Mối liên hệ giữa địa chỉ của DDRAM và vị trí hiển thị của LCD 

Vùng RAM này có 80x8 bit nhớ, nghĩa là chứa được 80 kí tự mã 8 bit. Những vùng RAM còn lại không dùng cho hiển thị có thể dùng như vùng RAM đa mục đích. 
Lưu ý là để truy cập vào DDRAM, ta phải cung cấp địa chỉ cho AC theo mã HEX 
e> Vùng ROM chứa kí tự CGROM: Character Generator ROM 
Vùng ROM này dùng để chứa các mẫu kí tự loại 5x8 hoặc 5x10 điểm ảnh/kí tự, và định địa chỉ bằng 8 bit. Tuy nhiên, nó chỉ có 208 mẫu kí tự 5x8 và 32 mẫu kí tự kiểu 5x10 (tổng cộng là 240 thay vì 2^8 = 256 mẫu kí tự). Người dùng không thể thay đổi vùng ROM này.



Hình 5 : Mối liên hệ giữa địa chỉ của ROM và dữ liệu tạo mẫu kí tự. 

Như vậy, để có thể ghi vào vị trí thứ x trên màn hình một kí tự y nào đó, người dùng phải ghi vào vùng DDRAM tại địa chỉ x (xem bảng mối liên hệ giữa DDRAM và vị trí hiển thị) một chuỗi mã kí tự 8 bit trên CGROM. Chú ý là trong bảng mã kí tự trong CGROM  ở hình bên dưới có mã ROM A00.
 Ví dụ : Ghi vào DDRAM tại địa chỉ “01” một chuỗi 8 bit “01100010” thì trên LCD tại ô thứ 2 từ trái sang (dòng trên) sẽ hiển thị kí tự “b”.




Bảng 3 : Bảng mã kí tự (ROM code A00) 

f> Vùng RAM chứa kí tự đồ họa CGRAM : (Character Generator RAM) 
Như trên bảng mã kí tự, nhà sản xuất dành vùng có địa chỉ byte cao là 0000 để người dùng có thể tạo các mẫu kí tự đồ họa riêng. Tuy nhiên dung lượng vùng này rất hạn chế: Ta chỉ có thể tạo 8 kí tự loại 5x8 điểm ảnh, hoặc 4 kí tự loại 5x10 điểm ảnh. 
Để ghi vào CGRAM, hãy xem hình 6 bên dưới. 



Hình 6 : Mối liên hệ giữa địa chỉ của CGRAM, dữ liệu của CGRAM, và mã kí tự. 

4> Tập lệnh của LCD : 
Trước khi tìm hiểu tập lệnh của LCD, sau đây là một vài chú ý khi giao tiếp với LCD : 
* Tuy trong sơ đồ khối của LCD có nhiều khối khác nhau, nhưng khi lập trình điều khiển LCD ta chỉ có thể tác động trực tiếp được vào 2 thanh ghi DR và IR thông qua các chân DBx, và ta phải thiết lập chân RS, R/W phù hợp để chuyển qua lại giữ 2 thanh ghi này. (xem bảng 2) 
* Với mỗi lệnh, LCD cần một khoảng thời gian để hoàn tất, thời gian này có thể khá lâu đối với tốc độ của MPU, nên ta cần kiểm tra cờ BF hoặc đợi (delay) cho LCD thực thi xong lệnh hiện hành mới có thể ra lệnh tiếp theo. 
* Địa chỉ của RAM (AC) sẽ tự động tăng (giảm) 1 đơn vị, mỗi khi có lệnh ghi vào RAM. (Điều này giúp chương trình gọn hơn) 
* Các lệnh của LCD có thể chia thành 4 nhóm như sau : 
•  Các lệnh về kiểu hiển thị. VD : Kiểu hiển thị (1 hàng / 2 hàng), chiều dài dữ liệu (8 bit / 4 bit), … 
•  Chỉ định địa chỉ RAM nội. 
•  Nhóm lệnh truyền dữ liệu trong RAM nội. 
•  Các lệnh còn lại .
Bảng 4 : Tập lệnh của LCD


Tên lệnh
Hoạt động
Clear
Display
Mã lệnh :  DBx = DB7  DB6  DB5  DB4  DB3  DB2  DB1  DB0
                  DBx =    0        0       0        0       0        0       0        1
Lệnh Clear Display (xóa hiển thị) sẽ ghi một khoảng trống-blank (mã hiện kí tự 20H) vào tất cả ô nhớ trong DDRAM, sau đó trả bộ đếm địa AC=0, trả lại kiểu hiển thị gốc nếu nó bị thay đổi. Nghĩa là : Tắt hiển thị, con trỏ dời về góc trái (hàng đầu tiên), chế độ tăng AC.
Return
home
Mã lệnh :  DBx = DB7  DB6  DB5  DB4  DB3  DB2  DB1  DB0
                  DBx =    0       0        0        0       0        0        1       *
Lệnh Return home trả bộ đếm địa chỉ AC về 0, trả lại kiểu hiển thị gốc nếu nó bị thay đổi. Nội dung của DDRAM không thay đổi.
Entry
mode set
Mã lệnh :  DBx = DB7  DB6  DB5  DB4  DB3  DB2  DB1  DB0
                  DBx =   0         0       0        0       0        1     [I/D]   [S]
I/D : Tăng (I/D=1) hoặc giảm (I/D=0) bộ đếm địa chỉ hiển thị AC 1 đơn vị mỗi khi có hành động ghi hoặc đọc vùng DDRAM. Vị trí con trỏ cũng di chuyển theo sự tăng giảm này.
S : Khi S=1 toàn bộ nội dung hiển thị bị dịch sang phải (I/D=0) hoặc sang trái (I/D=1) mỗi khi có hành động ghi vùng DDRAM. Khi S=0: không dịch nội dung hiển thị. Nội dung hiển thị không dịch khi đọc DDRAM hoặc đọc/ghi vùng CGRAM.
Display
on/off
control
Mã lệnh :  DBx = DB7  DB6  DB5  DB4  DB3  DB2  DB1  DB0
                  DBx =    0       0        0       0        1      [D]    [C]    [B]
D: Hiển thị màn hình khi D=1 và ngược lại. Khi tắt hiển thị, nội dung DDRAM không thay đổi.
C: Hiển thị con trỏ khi C=1 và ngược lại.
B: Nhấp nháy kí tự tại vị trí con trỏ khi B=1 và ngược lại.
Chu kì nhấp nháy khoảng 409,6ms khi mạch dao  động nội LCD là 250kHz.
Cursor
or
display
shift
Mã lệnh :  DBx = DB7  DB6  DB5  DB4  DB3  DB2  DB1  DB0
                  DBx =    0       0        0        1    [S/C] [R/L]   *        *
Lệnh Cursor or display shift dịch chuyển con trỏ hay dữ liệu hiển thị sang trái mà không cần hành động ghi/đọc dữ liệu. Khi hiển thị kiểu 2 dòng, con trỏ sẽ nhảy xuống dòng dưới khi dịch qua vị trí thứ 40 của hàng đầu tiên. Dữ liệu hàng đầu và hàng 2 dịch cùng một lúc. Chi tiết sử dụng xem bảng bên dưới:
S/C
R/L
Hoạt động
0
0
Dịch vị trí con trỏ sang trái (Nghĩa là giảm AC một đơn vị).
0
1
Dịch vị trí con trỏ sang phải (Tăng AC lên 1 đơn vị).
1
0
Dịch toàn bộ nội dung hiển thị sang trái, con trỏ cũng dịch theo.
1
1
Dịch toàn bộ nội dung hiển thị sang phải, con trỏ cũng dịch theo.
Function
set
Mã lệnh :  DBx = DB7  DB6  DB5  DB4  DB3  DB2  DB1  DB0
                  DBx =    0       0        1     [DL]   [N]    [F]     *        *
DL: Khi DL=1, LCD giao tiếp với MPU bằng giao thức 8 bit (từ bit DB7 đến DB0). Ngược lại, giao thức giao tiếp là 4 bit (từ bit DB7 đến bit DB0). Khi chọn giao thức 4 bit, dữ liệu được truyền/nhận 2 lần liên tiếp. với 4 bit cao gởi/nhận trước, 4 bit thấp gởi/nhận sau.
N : Thiết lập số hàng hiển thị. Khi N=0 : hiển thị 1 hàng, N=1: hiển thị 2 hàng.
F : Thiết lập kiểu kí tự. Khi F=0: kiểu kí tự 5x8 điểm ảnh, F=1: kiểu kí tự 5x10 điểm ảnh.
Set
CGRAM
address
Mã lệnh :  DBx = DB7  DB6  DB5  DB4  DB3  DB2  DB1  DB0
                  DBx =   0       1   [ACG][ACG][ACG][ACG][ACG][ACG]
Lệnh này ghi vào AC địa chỉ của CGRAM. Kí hiệu [ACG] chỉ 1 bit của chuỗi dữ liệu 6 bit. Ngay sau lệnh này là lệnh đọc/ghi dữ liệu từ CGRAM tại địa chỉ đã được chỉ định.
Set
DDRAM
address
Mã lệnh :  DBx = DB7  DB6  DB5  DB4  DB3  DB2  DB1  DB0
                  DBx =    1    [AD]  [AD]  [AD]  [AD]  [AD] [AD]  [AD]
Lệnh này ghi vào AC địa chỉ của DDRAM, dùng khi cần thiết lập tọa độ hiển thị
mong muốn. Ngay sau lệnh này là lệnh  đọc/ghi dữ liệu từ DDRAM tại  địa chỉ  đã được chỉ định.
Khi ở chế độ hiển thị 1 hàng: địa chỉ có thể từ 00H đến 4FH. Khi ở chế độ hiển thị 2 hàng, địa chỉ từ 00h đến 27H cho hàng thứ nhất, và từ 40h đến 67h cho hàng thứ 2.
Read BF
and
address
Mã lệnh :  DBx = DB7  DB6  DB5  DB4  DB3  DB2  DB1  DB0
                  DBx =[BF] [AC]  [AC]   [AC]  [AC]  [AC]  [AC]  [AC] (RS=0,R/W=1)
Như đã đề cập trước đây, khi cờ BF bật, LCD đang làm việc và lệnh tiếp theo (nếu có) sẽ bị bỏ qua nếu cờ BF chưa về mức thấp. Cho nên, khi lập trình điều khiển, phải kiểm tra cờ BF trước khi ghi dữ liệu vào LCD.
Khi đọc cờ BF, giá trị của AC cũng được xuất ra các bit [AC]. Nó là địa chỉ của
CG hay DDRAM là tùy thuộc vào lệnh trước đó.
Write
data to
CG or
DDRAM
Mã lệnh :  DBx = DB7  DB6  DB5  DB4  DB3  DB2  DB1  DB0
                  DBx =                     [Write data]                                   (RS=1, R/W=0)
Khi thiết lập RS=1, R/W=0, dữ liệu cần ghi được đưa vào các chân DBx từ mạch
ngoài sẽ được LCD chuyển vào trong LCD tại địa chỉ được xác định từ lệnh ghi địa chỉ trước đó (lệnh ghi địa chỉ cũng xác định luôn vùng RAM cần ghi)
Sau khi ghi, bộ đếm địa chỉ AC tự động tăng/giảm 1 tùy theo thiết lập Entry mode.
Read
data
from CG or
DDRAM
Mã lệnh :  DBx = DB7  DB6  DB5  DB4  DB3  DB2  DB1  DB0
                  DBx =                       [Read data]                                 (RS=1, R/W=1)
Khi thiết lập RS=1, R/W=1,dữ liệu từ CG/DDRAM được chuyển ra MPU thông qua các chân DBx (địa chỉ và vùng RAM đã được xác định bằng lệnh ghi địa chỉ trước đó).
Sau khi đọc, AC tự động tăng/giảm 1 tùy theo thiết lập Entry mode, tuy nhiên nội dung hiển thị không bị dịch bất chấp chế độ Entry mode.


5> Giao tiếp giữa LCD và MPU : 
a> Đặc tính điện của các chân giao tiếp : 
LCD sẽ bị hỏng nghiêm trọng, hoặc hoạt động sai lệch nếu bạn vi phạm khoảng đặc tính điện sau đây: 

Chân cấp nguồn (Vcc-GND)
Min:-0.3V , Max+7V
Các chân ngõ vào (DBx,E,…)
Min:-0.3V , Max:(Vcc+0.3V)
Nhiệt độ hoạt động
Min:-30C , Max:+75C
Nhiệt độ bảo quản
Min:-55C , Max:+125C







                                  Bảng 6 : Maximun Rating

Đặc tính điện làm việc điển hình: (Đo trong điều kiện hoạt động Vcc = 4.5V đến 5.5V, T = -30 đến +75C) 

Chân cấp nguồn Vcc-GND
2.7V đến 5.5V
Điện áp vào mức cao VIH
2.2V đến Vcc
Điện áp vào mức thấp VIL
-0.3V đến 0.6V
Điện áp ra mức cao (DB0-DB7)
Min 2.4V    (khi IOH = -0.205mA)
Điện áp ra mức thấp (DB0-DB7)
Max 0.4V   (khi IOL = 1.2mA)
Dòng điện ngõ vào (input leakage current) ILI
-1uA đến 1uA    (khi VIN = 0 đến Vcc)
Dòng điện cấp nguồn ICC
350uA(typ.) đến 600uA
Tần số dao động nội fOSC
190kHz đến 350kHz (điển hình là 270kHz)

Bảng 7: Miền làm việc bình thường 

b> Sơ đồ nối mạch điển hình: 
- Sơ đồ mạch kết nối giữa mô đun LCD và VĐK 89S52 (8 bit). 
- Sơ đồ mạch kết nối giữa môđun LCD và VĐK (4 bit). 
c> Bus Timing: 





6> Khởi tạo LCD: 
Khởi tạo là việc thiết lập các thông số làm việc ban đầu. Đối với LCD, khởi tạo giúp ta thiết lập các giao thức làm việc giữa LCD và MPU. Việc khởi tạo chỉ được thực hiện 1 lần duy nhất ở đầu chương trình điều khiển LCD và bao gồm các thiết lập sau : 
•  Display clear : Xóa/không xóa toàn bộ nội dung hiển thị trước đó. 
•  Function set : Kiểu giao tiếp 8bit/4bit, số hàng hiển thị 1hàng/2hàng, kiểu kí tự 5x8/5x10. 
•  Display on/off control: Hiển thị/tắt màn hình, hiển thị/tắt con trỏ, nhấp nháy/không nhấp nháy. 
•  Entry mode set : các thiết lập kiểu nhập kí tự như: Dịch/không dịch, tự tăng/giảm (Increment). 
a> Mạch khởi tạo bên trong chíp HD44780: 
Mỗi khi được cấp nguồn, mạch khởi tạo bên trong LCD sẽ tự động khởi tạo cho nó. Và trong thời gian khởi tạo này cờ BF bật lên 1, đến khi việc khởi tạo hoàn tất cờ BF còn giữ trong khoảng 10ms sau khi Vcc đạt đến 4.5V (vì 2.7V thì LCD đã hoạt động). Mạch khởi tạo nội sẽ thiết lập các thông số làm việc của LCD như sau: 
•  Display clear : Xóa toàn bộ nội dung hiển thị trước đó. 
•  Function set: DL=1 : 8bit; N=0 : 1 hàng; F=0 : 5x8 
•  Display on/off control: D=0 : Display off; C=0 : Cursor off; B=0 : Blinking off. 
•  Entry mode set: I/D =1 : Tăng; S=0 : Không dịch. 
Như vậy sau khi mở nguồn, bạn sẽ thấy màn hình LCD giống như chưa mở nguồn do toàn bộ hiển thị tắt. Do đó, ta phải khởi tạo LCD bằng lệnh. 
b> Khởi tạo bằng lệnh: (chuỗi lệnh) 
Việc khởi tạo bằng lệnh phải tuân theo lưu đồ sau của nhà sản xuất :




Như đã đề cập ở trên, chế độ giao tiếp mặc định của LCD là 8bit (tự khởi tạo lúc mới bật điện lên). Và khi kết nối mạch theo giao thức 4bit, 4 bit thấp từ DB0-DB3 không được kết nối đến LCD, nên lệnh khởi tạo ban đầu (lệnh chọn giao thức giao tiếp – function set 0010****) phải giao tiếp theo chế độ 8 bit (chỉ gởi 4 bit cao một lần, bỏ qua 4 bit thấp). Từ lệnh sau trở đi, phải gởi/nhận lệnh theo 2 nibble. 
Lưu ý là sau khi thiết lập function set, bạn không thể thay đổi function set ngoại trừ thay đổi giao thức giao tiếp (4bit/8bit). 

=================================================

Tham khảo một bài viết về LCD trên một trang nước ngoài chuyển sang tiếng việt bằng google translate dưới đây về LCD:


NxN (8x1, 8x2, 10x2, 16x1, 16x2, 16x2, 20x2, 20x4, 24x2, 30x2, 32x2, 40x2) Làm việc với ký tự, Pinout và mô tả

LCD là màn hình tinh thể lỏng. Nhân vật và đồ họa LCD phổ biến nhất trong số các nhà sản xuất điện tử / dự án hobbyist và diy. Kể từ khi giao diện của họ nối tiếp / song song được xác định để nó dễ dàng để giao diện với nhiều vi điều khiển. Nhiều sản phẩm chúng ta thấy trong cuộc sống hàng ngày của chúng tôi có lcd với họ. Chúng được sử dụng để hiển thị trạng thái của sản phẩm hoặc cung cấp giao diện để nhập hoặc chọn một số quá trình. Máy giặt, lò vi sóng, máy điều hòa không khí và máy rửa chảo là một số ví dụ về các sản phẩm có ký tự hoặc hình LCD được cài đặt trong đó. Trong hướng dẫn này, tôi sẽ thảo luận về các nhân vật của lcd. Làm thế nào họ làm việc? Pin của họ ra và lệnh khởi tạo vv 
Các nhân vật của LCD có nhiều kích thước 8x1, 8x2, 10x2, 16x1, 16x2, 16x4, 20x2, 20x4, 24x2, 30x2, 32x2, 40x2 vv Nhiều công ty đa quốc gia như Philips, Hitachi, Panasonic đã tạo ra các loại nhân vật tùy chỉnh của riêng mình để sử dụng trong sản phẩm của họ. Tất cả các nhân vật lcd thực hiện các chức năng tương tự (hiển thị các ký tự số nhân vật đặc biệt, ascii ký tự vv) .Các lập trình của họ cũng giống nhau và tất cả chúng đều có 14 pins (0-13) hoặc 16 pins (0 đến 15).

Trong một mxn lcd. M thể hiện số coulomb và n đại diện cho số hàng. Giống như nếu LCD được biểu thị bởi 16x2 nó có nghĩa là nó có 16 coulombs và 2 hàng. Dưới đây là một vài ví dụ. Màn hình 16x2, 8x1 và 8x2 được hiển thị trong hình dưới đây. Lưu ý sự khác biệt trong các hàng và coulombs.
Các dãy Lcd 16x2 và Coulombs
Các dãy Lcd 16x2 và Coulombs
Dãy Lcd và Coulombs 8x1
Dãy Lcd và Coulombs 8x1
Các dãy Lcd 8x2 và Coulombs
Các dãy Lcd 8x2 và Coulombs
Trên một nhân vật lcd một nhân vật được tạo ra trong một ma trận 5x8 hoặc 5x7. Trong đó 5 đại diện cho số coulomb và 7/8 đại diện cho số hàng. Kích thước tối đa của ma trận là 5x8. Bạn không thể hiển thị ký tự lớn hơn ma trận kích thước 5x8. Thông thường, chúng tôi hiển thị một ký tự trong ma trận 5x7 và để lại dòng thứ tám cho con trỏ. Nếu chúng ta sử dụng hàng thứ 8 của ma trận để hiển thị ký tự, thì chúng sẽ không có chỗ cho con trỏ. Hình ảnh ở phía bên phải cho thấy sự sắp xếp các điểm ảnh ma trận 5x8 chấm. 
Để hiển thị ký tự lớn hơn kích thước này, bạn phải chuyển sang đồ họa của lcd. Để tìm hiểu về lcds đồ họa ở đây là một hướng dẫn tốt Lcd đồ họa làm việc và Pin ra.
16x2 hàng đơn nhân vật và coulombs
16x2 hàng đơn nhân vật và coulombs
Chân tay LCD 16x2Chân tay LCD 16x2

Tất cả các ký tự của lcd có
  • Tám (8) chân dữ liệu D0-D7
  • VCC (áp dụng +5 volt ở đây)
  • Gnd (Gắn chân này)
  • Rc (Đăng ký chọn)
  • Rw (đọc - viết)
  • En (Bật)
  • V0 (Đặt độ tương phản Lcd)
Hình ảnh ở phía bên trái cho thấy pin ra khỏi nhân vật lcd. Hầu như tất cả các nhân vật lcd bao gồm cùng một pin ra. Lcd với tổng số pin bằng 14 không có tùy chọn kiểm soát ánh sáng trở lại. Họ có thể có ánh sáng trở lại luôn luôn trên hoặc không có ánh sáng trở lại. 16 tổng số pin đếm của LCD có thêm 2 A và K pins. A có nghĩa là anode và cathode K, sử dụng các chân này để kiểm soát ánh sáng trở lại của LCD. 
Ký tự Lcd có một bộ điều khiển xây dựng trong họ có tên là HD44780. Chúng tôi thực sự nói chuyện với bộ điều khiển này để hiển thị nhân vật trên màn hình LCD. HD44780 phải được xử lý và khởi tạo đúng cách trước khi gửi bất kỳ dữ liệu nào đến nó. HD44780 có một số thanh ghi được khởi tạo và thao tác để hiển thị ký tự trên màn hình LCD. Các thanh ghi này được chọn bởi các chân của nhân vật lcd.

Rs (Đăng ký chọn)
Đăng ký lựa chọn chọn đăng ký bộ điều khiển HD44780. Nó chuyển đổi giữa các lệnh và đăng ký dữ liệu.
  • Đăng ký lệnh
  • Đăng ký dữ liệu
Đăng ký lệnh
Khi chúng ta gửi lệnh cho lcd các lệnh này đi đến Command register và được xử lý. Các lệnh với mô tả đầy đủ được đưa ra trong hình dưới đây. Khi đăng ký lệnh Rs = 0 được chọn.
Đăng ký dữ liệu
Khi chúng tôi gửi dữ liệu đến LCD nó đi vào đăng ký dữ liệu và được xử lý của họ. Khi Rs = 1 thanh ghi dữ liệu được chọn.

Rw (Đọc - Viết)
Pin Rw được sử dụng để đọc và ghi dữ liệu vào dữ liệu HD44780 và các thanh ghi lệnh. Khi Rw = 1 chúng ta có thể đọc dữ liệu từ lcd. Khi Rw = 0 chúng ta có thể ghi vào lcd.

En (Bật tín hiệu)
Khi chúng ta chọn Rs đăng ký (Command and Data) và đặt Rw (đọc - ghi) và đặt giá trị nguyên trên 8 dòng dữ liệu, bây giờ là thời gian để thực hiện lệnh. Theo lệnh tôi có nghĩa là dữ liệu 8-bit hoặc lệnh 8-bit có trên các dòng dữ liệu của LCD. Để gửi dữ liệu / lệnh cuối cùng có trong dòng dữ liệu, chúng ta sử dụng lệnh enable pin. Thông thường nó vẫn còn en = 0 và khi chúng ta muốn thực hiện lệnh chúng ta làm cho nó cao en = 1 đối với một số nhà máy giây. Sau đó chúng ta lại làm cho nó đất en = 0

V0 (Đặt độ tương phản Lcd) 
Để đặt độ sắc nét màn hình lcd sử dụng pin này. Cách tốt nhất là sử dụng điện trở biến như Potentiometer một biến hiện nay làm cho sự tương phản nhân vật sắc nét. Kết nối đầu ra của Potentiometer với chân này.Xoay núm Potentiometer về phía trước và ngược lại để điều chỉnh độ tương phản lcd.
Chú ý: chúng ta không thể gửi một số nguyên, float, long, double vào dữ liệu lcd vì lcd được thiết kế để chỉ hiển thị một ký tự. Chỉ có các ký tự được hỗ trợ bởi bộ điều khiển HD44780. Xem bảng dữ liệu HD44780 để tìm hiểu những ký tự nào chúng ta có thể hiển thị trên màn hình LCD. 8 chân dữ liệu trên màn hình LCD chỉ mang mã Ascii 8-bit của nhân vật đến lcd. Bao giờ chúng ta có thể chuyển đổi dữ liệu của chúng tôi trong mảng kiểu ký tự và gửi từng dữ liệu của chúng tôi đến lcd. Dữ liệu có thể được gửi bằng cách sử dụng lcd ở chế độ 8-bit hoặc 4-bit. Nếu sử dụng chế độ 4-bit, hai lần nibbles dữ liệu (bốn bit đầu tiên và sau đó thấp bốn bit) được gửi để hoàn thành một chuyển đổi tám-bit đầy đủ. Chế độ 8-bit được sử dụng tốt nhất khi cần tốc độ trong một ứng dụng và có ít nhất mười chân I / O. Chế độ 4-bit yêu cầu ít nhất 7 bit. Trong chế độ 4-bit, chỉ sử dụng 4 chân dữ liệu hàng đầu (4-7).
Các lệnh Lcd Chuẩn với các chức năng của chúng được mô tả với các chức năng dưới đây.
Lệnh khởi tạo ldc nxn
Lệnh khởi tạo ldc nxn
Command 0x30 có nghĩa là chúng ta đang cài đặt chế độ lcd 8-bit với 1 dòng và chúng tôi đang khởi tạo nó để được hiển thị nhân vật 5x7.Bây giờ 5x7 này là một số điều mà mỗi người nên biết nó là viết tắt của.Thường là các ký tự được hiển thị trên màn hình LCD dạng ma trận 5x8. Trong đó 5 là tổng số coulombs và là số hàng.Nên lệnh trên 0x30 khởi tạo lcd để hiển thị nhân vật trong 5 coulombs và 7 hàng trong hàng cuối chúng ta thường để lại cho con trỏ của chúng ta để di chuyển hoặc blink vv
  • Lệnh 0x38 có nghĩa là chúng ta đang thiết lập chế độ 8-bit lcd có hai dòng và hình dạng nhân vật giữa ma trận 5x7.
  • Lệnh 0x20 có nghĩa là chúng ta đang thiết lập chế độ 4-bit lcd có 1 dòng và ký tự giữa 5x7 ma trận.
  • Lệnh 0x28 có nghĩa là chúng ta đang thiết lập chế độ 4-bit lcd có 2 dòng và dạng ký tự giữa ma trận 5x7.
  • Lệnh 0x06 là chế độ nhập cảnh nó nói với lcd mà chúng ta sẽ sử dụng.
  • Lệnh 0x08 hiển thị con trỏ và hiển thị nhưng với nội dung thanh toán bù trừ DDRAM.
  • Lệnh 0x0E hiển thị con trỏ trên và hiển thị trên.
  • Lệnh 0x0c hiển thị trên con trỏ tắt (hiển thị con trỏ tắt nhưng văn bản sẽ xuất hiện trên LCD)
  • Lệnh 0x0F hiển thị trên con trỏ nhấp nháy (văn bản sẽ xuất hiện trên màn hình và con trỏ sẽ nhấp nháy).
  • Lệnh 0x18 shift toàn bộ hiển thị trái (thay đổi toàn bộ văn bản trên một dòng cụ thể sang trái).
  • Lệnh 0x1C chuyển toàn bộ phải sang phải (thay đổi toàn bộ văn bản trên một dòng cụ thể sang phải).
  • Lệnh 0x10 Di chuyển con trỏ một bước sang trái hoặc di chuyển con trỏ trên bước từ đầu sang trái khi ký tự mới xuất hiện trên màn hình.
  • Lệnh 0x14 Di chuyển con trỏ sang một bên phải hoặc di chuyển con trỏ trên bước đầu để righ khi nhân vật mới xuất hiện trên màn hình.
  • Lệnh 0x01 xóa tất cả các nội dung của DDRAM và cũng xóa lcd loại bỏ tất cả các văn bản từ màn hình.
  • Lệnh 0x80 khởi tạo con trỏ đến vị trí đầu tiên có nghĩa là ma trận đầu tiên dòng đầu tiên (điểm bắt đầu) bây giờ nếu chúng ta thêm 1 vào 0x80 + 1 = 0x81 con trỏ di chuyển đến ma trận thứ hai.

LƯU Ý: Bạn có thể gửi các lệnh trong hệ thập lục phân hoặc thập phân mà bạn thích kết quả là giống nhau vì bộ vi điều khiển dịch lệnh với giá trị nhị phân 8 bit và gửi nó đến LCD. 

Sự khác nhau giữa chế độ LCD 4-bit và 8-bit

Ký tự Lcd có thể được sử dụng ở chế độ 4-bit và 8-bit. Trước khi bạn gửi lệnh và dữ liệu đến LCD. Đầu tiên phải được khởi tạo lcd. Việc khởi tạo này rất quan trọng đối với LCD do Hitachi sản xuất   Bởi vì họ sử dụng bộ chip điều khiển HD44780. Hd44780 Bộ chip đầu tiên phải được khởi tạo trước khi sử dụng nó. Nếu bạn không khởi tạo nó đúng, bạn sẽ không thấy gì trên màn hình LCD của bạn. 
Aukcje internetowe dla Twojej strony! 
Đối với chế độ 8-bit, điều này được thực hiện như sau:
1. Chờ hơn 15 mill secs sau khi điện được áp dụng.
2. Viết lệnh 0x30 đến LCD và chờ 5 milli giây để được hướng dẫn hoàn thành.
3. Viết lệnh 0x30 lên màn hình LCD và đợi 160 micro giây để được hướng dẫn hoàn thành.
4. Viết lệnh 0x30 AGAIN lên màn hình LCD và đợi 160 phút vi hoặc Thăm dò cờ bận rộn.

Trong chế độ 4-bit, nibble cao được gửi trước khi nibble thấp và pin En được bật mỗi lần bốn bit được gửi tới màn hình LCD. Để khởi tạo ở chế độ 4-bit:

1. Chờ hơn 15 mill secs sau khi điện được áp dụng.
2. Viết lệnh 0x03 lên màn hình LCD và chờ 5 msecs để hoàn thành hướng dẫn.
3. Viết lệnh 0x03 lên màn hình LCD và đợi 160 usecs để được hướng dẫn hoàn thành.
4. Viết lệnh 0x03 AGAIN vào màn hình LCD và đợi 160 usecs (hoặc bỏ phiếu Busy Flag).
Viết 0x02 vào màn hình LCD để kích hoạt chế độ 4-Bit

Để tìm hiểu thêm về sự khác biệt giữa chế độ lcd 4-bit và 8-bit và hoạt động với ví dụ minh họa, hãy truy cập liên kết hướng dẫn dưới đây. Ví dụ trình diễn rất dễ hiểu và người ta có thể thay đổi một cách dễ dàng trong mã. Xin vui lòng cung cấp cho chúng tôi nguồn cấp dữ liệu của bạn trở lại trên bài đăng.

Không có nhận xét nào:

Đăng nhận xét

Món sắn dây và cháo Chai